全心思齐网

vhdl注释用什么符号?

在VHDL语言的程序中,注释使用()符号。

匿名回答于2024-05-25 18:37:54


相关知识问答