全心思齐网

FPGA中如何用VHDL语言,或verilog语言读图像(bmp)?

FPGA 中,要读取的数据一定是以二进制存在 RAM 或 ROM中的,所谓FPGA读取数据,读出的都是2进制数,无所谓数据的原来格式,不管是BMP或者JPEG,读出来都是一个一个的2进制数。至于这些二进制编码代表什么意思,和FPGA无关。

匿名回答于2024-05-25 18:37:12


相关知识问答