全心思齐网

利用VHDL设计一个6位移位寄存器,是能控制输入端EN,当其为低电平时,寄存器工作,否则不工作?

用VHDL描述异步复位,同步置数和移位使能8位右移移位寄存器。

library ieee;use ieee.std_logic_1164.all;entity sreg8b is port(clk,rst:in std_logic; load,en:in std_logic; din:in std_logic_vector(7 downto 0)

; qb:out std_logic)

;end sreg8b;architecture behan of sreg8b is signal reg8:std_logic_vector(7 downto 0)

; begin process(clk,rst,load,en) begin if(rst='1'

)then reg8

匿名回答于2024-05-25 18:36:31


相关知识问答