全心思齐网

vhdl中怎样实现二进制左移一位,不是循环左移,相当于在最右边加0?

如果a 为std_logic_vector(8 downto 0),则可以用如下语句实现(最右边加0)。a

匿名回答于2024-05-25 18:35:49


相关知识问答